[Varnish] #132: Varnish 1.1 dies with assert error in SES_Delete

Varnish varnish-bugs at projects.linpro.no
Tue Sep 11 15:48:42 CEST 2007


#132: Varnish 1.1 dies with assert error in SES_Delete
----------------------------------+-----------------------------------------
 Reporter:  anders                |        Owner:  phk          
     Type:  defect                |       Status:  new          
 Priority:  high                  |    Milestone:  Varnish 1.1.2
Component:  varnishd              |      Version:  1.1          
 Severity:  major                 |   Resolution:               
 Keywords:  core dump SES_Delete  |  
----------------------------------+-----------------------------------------
Comment (by janis.putrams):

 and going furher leads to this block:

 {{{
     if (sp->obj == NULL &&
         (VSL_stats->n_wrk_queue >
         (params->wthread_max * params->overflow_max) / 100)) {
         VSL_stats->n_wrk_drop++;
         UNLOCK(&tmtx);
         vca_close_session(sp, "dropped");
         assert(!isnan(sp->t_end));
         vca_return_session(sp);
         return;
     }
 }}}
 and Assertion:

 {{{
 Child said (2, 8054): <<Assert error in WRK_QueueSession(), cache_pool.c
 line 314:
   Condition(!isnan(sp->t_end)) not true.
   errno = 0 (Success)
 >>
 Cache child died pid=8054 status=0x86
 Clean child
 Child cleaned
 }}}

-- 
Ticket URL: <http://varnish.projects.linpro.no/ticket/132#comment:10>
Varnish <http://varnish.projects.linpro.no/>
The Varnish HTTP Accelerator


More information about the varnish-bugs mailing list