[Varnish] #272: Assert error in vca_acct(), cache_acceptor.c line 173

Varnish varnish-bugs at projects.linpro.no
Mon Jul 7 18:33:34 CEST 2008


#272: Assert error in vca_acct(), cache_acceptor.c line 173
------------------------+---------------------------------------------------
 Reporter:  bonetruck2  |        Owner:  phk  
     Type:  defect      |       Status:  new  
 Priority:  normal      |    Milestone:       
Component:  varnishd    |      Version:  1.1.2
 Severity:  normal      |   Resolution:       
 Keywords:              |  
------------------------+---------------------------------------------------
Comment (by phk):

 Please try to print out the actual timeval to see if it is valid.

 Also, check openbsd docs to see what the valid range would be, and suggest
 an #ifdef'ed patch for the parameter based on any restrictions.

-- 
Ticket URL: <http://varnish.projects.linpro.no/ticket/272#comment:1>
Varnish <http://varnish.projects.linpro.no/>
The Varnish HTTP Accelerator


More information about the varnish-bugs mailing list