[Varnish] #1185: Assert error in VRT_IP_string(), cache/cache_vrt.c line 313

Varnish varnish-bugs at varnish-cache.org
Mon Nov 5 16:23:55 CET 2012


#1185: Assert error in VRT_IP_string(), cache/cache_vrt.c line 313
----------------------+-----------------------
 Reporter:  kristian  |       Owner:  kristian
     Type:  defect    |      Status:  closed
 Priority:  normal    |   Milestone:
Component:  build     |     Version:  3.0.0
 Severity:  normal    |  Resolution:  fixed
 Keywords:            |
----------------------+-----------------------
Changes (by Poul-Henning Kamp <phk@…>):

 * status:  new => closed
 * resolution:   => fixed


Comment:

 (In [1a02024a9ece62d55d40deebd971e485775c5d9d]) Increase the
 'client_workspace' for 32bit machines to be twice the
 size of the 'http_req_size' so that we don't run out of WS in case
 of client pipelining.

 Fixes   #1185

-- 
Ticket URL: <https://www.varnish-cache.org/trac/ticket/1185#comment:9>
Varnish <https://varnish-cache.org/>
The Varnish HTTP Accelerator




More information about the varnish-bugs mailing list