[Varnish] #1592: Assert error in WS_Release(), cache/cache_ws.c line 225:

Varnish varnish-bugs at varnish-cache.org
Mon Sep 15 15:52:51 CEST 2014


#1592: Assert error in WS_Release(), cache/cache_ws.c line 225:
---------------------+-----------------------------------------------
 Reporter:  olli     |       Owner:  Martin Blix Grydeland <martin@…>
     Type:  defect   |      Status:  closed
 Priority:  normal   |   Milestone:
Component:  build    |     Version:  4.0.1
 Severity:  blocker  |  Resolution:  fixed
 Keywords:           |
---------------------+-----------------------------------------------
Changes (by Martin Blix Grydeland <martin@…>):

 * status:  new => closed
 * owner:   => Martin Blix Grydeland <martin@…>
 * resolution:   => fixed


Comment:

 In [6382a1e67d7f2fc4131a80bb453e7f839a83659d]:
 {{{
 #!CommitTicketReference repository=""
 revision="6382a1e67d7f2fc4131a80bb453e7f839a83659d"
 Bail out on workspace exhaustion in VRT_IP_string

 Fixes: #1592
 }}}

-- 
Ticket URL: <https://www.varnish-cache.org/trac/ticket/1592#comment:3>
Varnish <https://varnish-cache.org/>
The Varnish HTTP Accelerator



More information about the varnish-bugs mailing list