[Varnish] #1592: Assert error in WS_Release(), cache/cache_ws.c line 225:

Varnish varnish-bugs at varnish-cache.org
Mon Sep 22 16:38:29 CEST 2014


#1592: Assert error in WS_Release(), cache/cache_ws.c line 225:
---------------------+-----------------------------------------------
 Reporter:  olli     |       Owner:  Martin Blix Grydeland <martin@…>
     Type:  defect   |      Status:  closed
 Priority:  normal   |   Milestone:
Component:  build    |     Version:  4.0.1
 Severity:  blocker  |  Resolution:  fixed
 Keywords:           |
---------------------+-----------------------------------------------
Changes (by Lasse Karstensen <lkarsten@…>):

 * status:  reopened => closed
 * resolution:   => fixed


Comment:

 In [29867c1eae2be7d51e61711a7b6aecd579c1065c]:
 {{{
 #!CommitTicketReference repository=""
 revision="29867c1eae2be7d51e61711a7b6aecd579c1065c"
 Bail out on workspace exhaustion in VRT_IP_string

 Fixes: #1592
 }}}

-- 
Ticket URL: <https://www.varnish-cache.org/trac/ticket/1592#comment:5>
Varnish <https://varnish-cache.org/>
The Varnish HTTP Accelerator



More information about the varnish-bugs mailing list