[Varnish] #1661: Assert error in VRY_Validate(), cache/cache_vary.c line 377

Varnish varnish-bugs at varnish-cache.org
Thu Jan 22 13:55:34 CET 2015


#1661: Assert error in VRY_Validate(), cache/cache_vary.c line 377
--------------------------+----------------------
 Reporter:  mattrobenolt  |       Owner:
     Type:  defect        |      Status:  new
 Priority:  normal        |   Milestone:
Component:  varnishd      |     Version:  unknown
 Severity:  major         |  Resolution:
 Keywords:  vary, panic   |
--------------------------+----------------------

Comment (by lkarsten):

 I asked reporter for any changed parameters. startup options are: -p
 shortlived=0 -p shm_reclen=4084 -p fetch_chunksize=4k -p timeout_idle=5 -p
 timeout_req=2

 Martin have dug into this and it looks like bad ws overflow handling in
 the request parsing vary code.

 Anyone hitting this assert should either reduce their workspace use in
 vcl_recv (regsub/header operations or hungry vmods), or increase the
 workspace_client parameter.

 Martin is cooking on some (failing) test cases that will be reported as
 separate bugs. Leaving this issue open until that is in place and
 referenced here.

-- 
Ticket URL: <https://www.varnish-cache.org/trac/ticket/1661#comment:2>
Varnish <https://varnish-cache.org/>
The Varnish HTTP Accelerator



More information about the varnish-bugs mailing list