[6.0] c18b3baa1 Assert

Martin Blix Grydeland martin at varnish-software.com
Tue Feb 4 10:02:09 UTC 2020


commit c18b3baa187e798eb7225a64d6ba5a4e904aefc1
Author: Dridi Boukelmoune <dridi.boukelmoune at gmail.com>
Date:   Mon Dec 16 12:16:17 2019 +0100

    Assert
    
    (cherry picked from commit 7da6220dfd86416200c43d84d40fce0cae1b5bae)

diff --git a/bin/varnishd/cache/cache_ws.c b/bin/varnishd/cache/cache_ws.c
index e98e2cc69..de04e896d 100644
--- a/bin/varnishd/cache/cache_ws.c
+++ b/bin/varnishd/cache/cache_ws.c
@@ -235,6 +235,7 @@ WS_ReserveAll(struct ws *ws)
 {
 	unsigned b;
 
+	WS_Assert(ws);
 	assert(ws->r == NULL);
 
 	ws->r = ws->e;


More information about the varnish-commit mailing list