[master] ae4e61058 Silence Flexelint

Poul-Henning Kamp phk at FreeBSD.org
Tue Jun 28 14:41:06 UTC 2022


commit ae4e61058707c07aeac306db99dc29e9224ec4ad
Author: Poul-Henning Kamp <phk at FreeBSD.org>
Date:   Tue Jun 28 14:40:23 2022 +0000

    Silence Flexelint

diff --git a/bin/varnishtest/vtc_misc.c b/bin/varnishtest/vtc_misc.c
index 3eef2a5fe..345170b89 100644
--- a/bin/varnishtest/vtc_misc.c
+++ b/bin/varnishtest/vtc_misc.c
@@ -270,7 +270,7 @@ cmd_filewrite(CMD_ARGS)
 	if (fo == NULL)
 		vtc_fatal(vl, "Cannot open %s: %s", av[1], strerror(errno));
 	for (n = 2; av[n] != NULL; n++)
-		fputs(av[n], fo);
+		(void)fputs(av[n], fo);
 	AZ(fclose(fo));
 }
 


More information about the varnish-commit mailing list