[master] b7452d038 Move flexelint silencing to the right place

Nils Goroll nils.goroll at uplex.de
Wed Jun 5 08:26:06 UTC 2024


commit b7452d0380873a3a4b562726b756b7d6e42a1666
Author: Nils Goroll <nils.goroll at uplex.de>
Date:   Wed Jun 5 10:25:02 2024 +0200

    Move flexelint silencing to the right place
    
    Ref 7392333a9cf5eac64aa28c36007e3e8831afc306

diff --git a/bin/flint.lnt b/bin/flint.lnt
index 3bb395da5..e6cee798a 100644
--- a/bin/flint.lnt
+++ b/bin/flint.lnt
@@ -39,9 +39,6 @@
 -elib(659)		// no tokens after struct def.
 -elib(123)		// macro def. with arg at, (just warn)
 
-+libh(/usr/include/libunwind.h)
--elib(849)
-
 -emacro(702, WEXITSTATUS)	// signed shift right
 
 -e825	// control flows into case/default without -fallthrough comment
diff --git a/lib/libvarnish/flint.lnt b/lib/libvarnish/flint.lnt
index 4c41e5fee..83e75c3cc 100644
--- a/lib/libvarnish/flint.lnt
+++ b/lib/libvarnish/flint.lnt
@@ -14,3 +14,6 @@
 
 --emacro((835),VBH_NOIDX)
 --emacro((835),O_CLOEXEC)
+
++libh(/usr/include/libunwind.h)
+-elib(849)


More information about the varnish-commit mailing list