[master] 503a72e Add break after WRONG() assertion to silence Coverity

Martin Blix Grydeland martin at varnish-cache.org
Wed Oct 2 13:13:25 CEST 2013


commit 503a72ed41fda543a16d07bcce8cf27ef3497ef0
Author: Martin Blix Grydeland <martin at varnish-software.com>
Date:   Wed Oct 2 13:05:31 2013 +0200

    Add break after WRONG() assertion to silence Coverity

diff --git a/lib/libvarnishapi/vxp_parse.c b/lib/libvarnishapi/vxp_parse.c
index 83dbd52..99a7999 100644
--- a/lib/libvarnishapi/vxp_parse.c
+++ b/lib/libvarnishapi/vxp_parse.c
@@ -311,6 +311,7 @@ vxp_expr_cmp(struct vxp *vxp, struct vex **pvex)
 	switch((*pvex)->tok) {
 	case '\0':
 		WRONG("Missing token");
+		break;
 	case T_EQ:		/* == */
 	case '<':		/* < */
 	case '>':		/* > */



More information about the varnish-commit mailing list